發光發熱的二維半導體材料:二維材料製備、後處理製程與光電應用

作者 | 發布日期 2024 年 01 月 02 日 9:02 | 分類 尖端科技 , 材料 line share follow us in feedly line share
發光發熱的二維半導體材料:二維材料製備、後處理製程與光電應用


光電元件可分為吸收光線作為開關訊號的光電探測器(photodetector),吸收光線轉換為電能的太陽能電池(solar cell 或是 photovoltaic device)以及發射光線的發光裝置(light emitting device,最常見的是發光二極體 LED)(圖十)[27]。元件性能的主要指標有高響應度(responsivity)、短響應時間(response time)、高靈敏度(sensitivity)、大增益(photo gain)、線性響應度變化(linearity)。

(本文出自國立成功大學電機工程學系李文熙教授、陳士勛博士生,經於新報科技編修於《到平面的世界探險吧──二維材料簡介》文稿,科技新報編排為上下兩篇,此篇為下篇。)

二維材料應用於光電元件

吸收光線的元件中分為三個步驟討論:(1)光吸收(2)產生載子(3)載子傳輸。材料光吸收的目標是涵蓋大的接收頻段(bandwidth)範圍,取決於材料的能隙。二維材料半導體可以接收的光訊號涵蓋了大的頻段範圍[6],從中紅外光到可見光都有相應的材料可選用。然而二維原子層材料在光吸收上比起三維塊材來說是相對少的,可以透過堆疊二維材料異質層增加不同頻段的接收效率,而且設計上需要注意提升增益。

光生載子的階段,材料吸收光線後產生載子──電子電洞對。要提高增益需注意降低電子電洞對的結合,便能取出增加的載子數量,常見的做法為添加另一材料來引導載子往不同的方向移動,例如 [7]文獻中使用銦原子吸附放置於二維半導體二硫化鎢 WS2 上方,引導光生電子轉移至二硫化鎢通道,而電洞困於銦原子。

載子傳輸的主要挑戰在於半導體通道與金屬導線間接面,接觸電阻造成消耗並導致低的響應度。由於尚未出現方法能夠修復二維材料在傳統參雜製程中出現的損壞,因此選擇一個能帶匹配的金屬,還有量子穿隧機制的使用是當前降低接觸電阻的主要做法。具有半金屬特性的石墨烯經常被用於銜接二維材料半導體和金屬導線,它可以和二維材料形成低的接觸電阻,同時,它的超高載子遷移率(mobility)特性也更加降低了已分離的電子電洞們相遇結合。

▲圖九. 各頻段可應用的二維材料[6]

▲圖十. 常見的光電元件結構[27]

熱門發光裝置

現今熱門發光裝置多應用光致發光(photoluminescence,PL)和電致發光(electroluminescence, EL)原理。使用直流電源的結構有發光二極體LED和單光子發射(single photon emission)的量子點(Quantum dot LED,QLED)。二維材料特性應用於發光裝置有許多優勢。QLED 的製程繁瑣,對疏水絕緣長配體(ligand)的依賴也阻礙了它們的穩定性和導電性,二維材料的自終止面(self-terminated surface)特質使它的裝置在運作時載子不受配體干擾。有機發光二極體 OLED 的載流子傳輸能力和激子復合能力低,阻礙了亮度的提高,而二維材料半導體 TMDs 優異的激子發光能力可在室溫下達到高亮度。[8]

薄層材料中的量子侷限效應將薄層三維材料的狀態密度和載子濃度降低。二維材料半導體 TMDs由於其有效質量高,帶來高的載子濃度,在這樣的條件下將可以觀察到更高階的激子準粒子,如激子 (Exciton) 和帶電激子 (Trion) 等等。二維材料半導體 TMDs 有強的庫侖作用力,使激子緊密結合,帶來高的激子結合能,甚至能夠在室溫下觀察。典型三五族半導體 GaAs 的結合能為4.76 meV,只能在低溫下觀察激子,而二維 TMDs 中的二硫化鉬 MoS為 240meV。

傳統半導體中的缺陷會捕捉載子,妨礙電子電洞結合發光,大大降低光致發光量子產率 (Photoluminescence Quantum Yield,PLQY),是決定元件光電性能的關鍵指標。二維材料半導體 TMDs 加工後通常有較大的原生缺陷密度,修補缺陷是一大製程挑戰,然而研究發現中性激子復合是輻射性的,即使存在高缺陷密度仍可以有高的 PLQY 表現[8],讓二維 TMDs 於光電應用有很大潛力。

除了上述的直流輸入 LED 結構,一個使用交流電源的結構也被提出 (圖十一) [9],透過適合材料的交流電切換頻率,正負電荷在材料中相遇結合並發光。LED 結構使用材料的 PN 界面(P-N Junction)發光,狹窄的材料界面和複雜結構使得大面積應用受到限制。圖十一的結構簡易且受到材料界面蕭基勢壘的影響程度低,為大面積透明顯示器提供了一個方案。

在相異材料的元件與控制電路之間異質整合議題上,二維材料也有優點。控制元件的電路以矽基底 CMOS 電路為主。HgCdTe 和三五族元素構成的元件在與控制電路整合時,製程上會有晶格不匹配(lattice mismatch)所導致的接合不順利。而二維材料可以透過轉印製程(transfer)轉移到其他材料上,透過汎德瓦力附著於其他材料上,不依賴晶格匹配,亦可使用此特性製作二維材料基底的控制電路用於三五族顯示器[12]和需要透明且可撓的穿戴式顯示器[11]。對於二維材料光吸收有限的問題,研究發現可通過延長相互作用長度,大大增強二維材料層與沿光波導傳播的光模場之間的相互作用。[13] 隨著光與物質相互作用的增強,透過波導集成矽和二維材料的光電元件在各種功能性光子集成電路中的應用潛力引起了廣泛的關注。 (圖十一右) [26]

▲圖十一. 左為交流電 LED,右為波導集成矽和二維材料的光電元件

二維材料製備

製作只有幾層分子厚材料的常見方法可分為以下幾種:剝離法 (exfoliation)、化學氣相沉積 (chemical vapor deposition,CVD)、後退火法 (post-annealing)。

一、剝離法 (exfoliation)

剝離法引入以一適當大小的力來克服二維層狀材料層與層之間微弱的汎德瓦作用力,將多層堆疊的大體積原料塊分開成數片少層薄片,而層內的共價鍵、離子鍵或金屬鍵足夠強壯可保持二維層完好無損。例如超音波處理 (ultrasonication)和剪切混合技術 (high-sheer mixing)是通過引入剪切力在液相中生產二維材料的直接方法。電化學剝離法則是透過引入電場增加層與層之間的距離來達到效果。

二、化學氣相沉積法 (chemical vapor depositionCVD)

化學氣相沉積法的原理是利用高溫將固態的原料氣化,原料蒸氣相遇發生氣相化學反應,沉積於目標基板上。以二維材料半導體二硫化鉬為例,三氧化鉬和硫的固體粉末被加熱到600~800°C,氣相反應後於基板上形成二硫化鉬薄層。其中挑戰在於抑制垂直方向的沉積同時加強水平方向的生長。溫度、壓力、持溫時間、基板、前體等參數對於反應都有顯著的影響。

三、後退火法 (post-annealing)

後退火法是一個兩步驟的生長方式,先沉積前體 (precursor) ,再透過後退火反應成為目標材料,同時提升材料結晶性以優化材料電特性。濺鍍 (sputter)是一種適合大規模製造的方法,屬於物理氣相沉積 (physical vapor deposition,PVD) ,具有快速、廉價和可擴展性的優點,可以製造通常需要更高工藝溫度的鎢基二維材料。然而,在二維材料所需的低原子層數量的情況下,很難控制精確的薄膜厚度、粗糙度和結晶度。因此它搭配 CVD 做後退火處理來提升結晶性和修補缺陷。

二維材料後處理製程

針對欲增強控制的性能種類,選擇適合材料的後處理方式很重要。常見的製程手法有退火和摻雜。

傳統退火方式在真空或是惰性氣體環境中升溫進行,二維材料在此環境中退火將出現許多缺陷,例如碲化鉬,能夠提升結晶性的溫度在 650 度以上,而膜層中的碲元素在 250 度時開始脫離,所以此材料的退火須要在充滿碲元素氣氛的環境下進行,也可以利用此特性將欲摻雜的元素在退火過程中填入材料 (圖十二之一) [21]。另外,還有一個不受氣氛影響的退火方法被提出,固態結晶法 (solid phase crystallization,SPC) ,透過 SiO2 覆蓋層封裝濺射的 MoTe2 然後升至高溫,固態結晶過程可以在無 Te 氣氛中輕鬆進行 (圖十二之二) [22]。

▲圖十二. 二維材料後處理手法(1)低溫退火(2)固相結晶法退火(3)雷射處理

無添加劑的做法還有雷射處理,雷射處理可以針對特定位置進行,例如圖十二之三中的碲化鉬,在雷射處理過後由 2H 半導體相位轉變為 1T 半金屬相位,可應用於歐姆接觸議題。(圖十二之三)[23]

在使用添加劑的手法中,目前用於 TMDs 摻雜工程的主要策略有:(1)取代摻雜(2)電荷轉移摻雜(3)靜電場效應摻雜。傳統三維晶體結構的半導體通常在取代或間隙位點由雜質原子摻雜。與之相比,二維膜層之間的弱范德瓦相互作用導致較大的層間距離,有利於摻雜劑原子的嵌入。並且在這樣的超薄厚度下,它們也可以很容易地通過表面電荷轉移和外部靜電場效應進行摻雜。

(1) 取代摻雜

取代摻雜可以透過在材料生長階段混入摻雜劑來實現,或是以退火、電漿、雷射的方式,在膜層製造空位後通過氣氛填入摻雜劑。在存在硫空位的情況下,七族(F、Cl、Br)和 五族元素(N、P、As)摻雜反應在熱力學上是更傾向發生的。在金屬位置,摻雜劑的形成很大程度上取決於金屬空位的濃度,例如 MoS2 的 Re 摻雜。 因此不論是在產生缺陷的生長或是在後處理,使用原位方法 ( in situ methods ) 相對容易實現取代摻雜製程。

▼表一. 取代摻雜的摻雜劑和效果[25]      ▼表二. 電荷轉移摻雜的摻雜劑和效果

(2) 電荷轉移摻雜

電荷轉移摻雜在調節半導體電子行為方面引起了廣泛的關注,與摻入外來摻雜劑原子到晶格中的替代摻雜相比,電荷轉移摻雜是利用主體材料與相鄰介質(包括表面吸附原子、離子、分子、粒子和基板)之間的電荷轉移相互作用,這樣的方式可以避免晶格結構畸變並能夠在低維材料中實現高遷移率傳輸。

(3) 靜電場效應摻雜

由於其超薄的性質,二維材料薄膜特別容易受到外部場效應的影響。靜電摻雜策略利用此特性調整 TMDs(Transition Metal Dichalcogenides,過渡金屬二硫屬化合物)中載流子摻雜濃度和極性。靜電摻雜所需要的外部電場可使用一個額外的閘極或是浮動柵極 (floating gate)來提供。[24]在金屬-絕緣體-半導體 (MIS) 結構中,當元件受到大的電位偏壓驅動時,通道中的自由電荷將穿過絕緣層到達金屬浮柵,被另一個介電層捕獲。由於浮柵完全被高電阻材料包圍,因此其中包含的電荷量會長時間保持不變,這些被捕獲的電荷將透過電容耦合持續提供電場影響半導體通道的電導率,直到這些電荷被施加相反的大電位排出浮閘。

結語

二維材料系統有許多優異特性,集感測、存儲、處理於一體的 2D 半導體硬體系統,未來將顛覆電子應用的架構。現階段來說,要發展積體電路量產,乃至商業化應用,仍有許多研究工作待完成。二維半導體材料做為電晶體的基本性質尚未被理解掌握,能帶和寄生電容模型仍待更多的探討。製程方面的挑戰,歐姆接觸、大面積品質均勻性、參雜手法調控材料特性,期待更多突破。

(首圖來源:Shutterstock;文章圖片資料來源:閎康科技)

延伸閱讀:

參考文獻

[1] Abraham, Jijo, et al. “Tunable sieving of ions using graphene oxide membranes." Nature nanotechnology 12.6 (2017): 546-550.
[2] Zhou, Guangmin. “Graphene–pure sulfur sandwich structure for ultrafast, long-life lithium-sulfur batteries." Design, Fabrication and Electrochemical Performance of Nanostructured Carbon Based Materials for High-Energy Lithium–Sulfur Batteries. Springer, Singapore, 2017. 75-94.
[3] Ba, Housseinou, et al. “Cotton fabrics coated with few-layer graphene as highly responsive surface heaters and integrated lightweight electronic-textile circuits." ACS Applied Nano Materials 3.10 (2020): 9771-9783.
[4] Liu, Ran, Jonathon Duay, and Sang Bok Lee. “Heterogeneous nanostructured electrode materials for electrochemical energy storage." Chemical Communications 47.5 (2011): 1384-1404
[5] Geim, Andre K., and Irina V. Grigorieva. “Van der Waals heterostructures." Nature 499.7459 (2013): 419-425.
[6] Chaves, A., et al. “Bandgap engineering of two-dimensional semiconductor materials." npj 2D Materials and Applications 4.1 (2020): 1-21.
[7] Yeh, Chao-Hui, et al. “Ultrafast monolayer In/Gr-WS2-Gr hybrid photodetectors with high gain." ACS nano 13.3 (2019): 3269-3279.
[8] Lien, Der-Hsien, et al. “Electrical suppression of all nonradiative recombination pathways in monolayer semiconductors." Science 364.6439 (2019): 468-471.
[9] Lien, Der-Hsien, et al. “Large-area and bright pulsed electroluminescence in monolayer semiconductors." Nature communications 9.1 (2018): 1229.
[10] Joksas, Dovydas, et al. “Memristive, Spintronic and 2D-Materials-Based Devices to Improve and Complement Computing Hardware." arXiv preprint arXiv:2203.06147 (2022).
[11] Choi, Minwoo, et al. “Flexible active-matrix organic light-emitting diode display enabled by MoS2 thin-film transistor." Science Advances 4.4 (2018): eaas8721.
[12] Hwangbo, Sumin, et al. “Wafer-scale monolithic integration of full-colour micro-LED display using MoS2 transistor." Nature Nanotechnology 17.5 (2022): 500-506.
[13] Koester, Steven J., and Mo Li. “Waveguide-coupled graphene optoelectronics." IEEE Journal of Selected Topics in Quantum Electronics 20.1 (2013): 84-94.
[14] Huang, Xiaohe, Chunsen Liu, and Peng Zhou. “2D semiconductors for specific electronic applications: from device to system." npj 2D Materials and Applications 6.1 (2022): 51.
[15] Liu, Menggan, et al. “Large‐Scale Ultrathin Channel Nanosheet‐Stacked CFET Based on CVD 1L MoS2/WSe2." Advanced Electronic Materials (2022): 2200722.
[16] Shrivastava, Mayank, and V. Ramgopal Rao. “A roadmap for disruptive applications and heterogeneous integration using two-dimensional materials: State-of-the-art and technological challenges." Nano Letters 21.15 (2021): 6359-6381.
[17] Shen, Pin-Chun, et al. “Ultralow contact resistance between semimetal and monolayer semiconductors." Nature 593.7858 (2021): 211-217.
[18] Kim, Changsik, et al. “Fermi level pinning at electrical metal contacts of monolayer molybdenum dichalcogenides." ACS nano 11.2 (2017): 1588-1596.
[19] Cui, Xu, et al. “Multi-terminal transport measurements of MoS2 using a van der Waals heterostructure device platform." Nature nanotechnology 10.6 (2015): 534-540.
[20] Yu, Zhihao, et al. “Reliability of ultrathin high-κ dielectrics on chemical-vapor deposited 2D semiconductors." 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020.
[21] Choi, Min Sup, et al. “Chemical Dopant‐Free Doping by Annealing and Electron Beam Irradiation on 2D Materials." Advanced Electronic Materials 7.10 (2021): 2100449.
[22] CHuang, Jyun-Hong, et al. “Polymorphism control of layered MoTe2 through two-dimensional solid-phase crystallization." Scientific reports 9.1 (2019): 1-8.
[23] Cho, Suyeon, et al. “Phase patterning for ohmic homojunction contact in MoTe2." Science 349.6248 (2015): 625-628.
[24] Vu, Quoc An, et al. “Two-terminal floating-gate memory with van der Waals heterostructures for ultrahigh on/off ratio." Nature communications 7.1 (2016): 12725.
[25] Luo, Peng, et al. “Doping engineering and functionalization of two-dimensional metal chalcogenides." Nanoscale Horizons 4.1 (2019): 26-51.
[26] Flöry, Nikolaus, et al. “Waveguide-integrated van der Waals heterostructure photodetector at telecom wavelengths with high speed and high responsivity." Nature Nanotechnology 15.2 (2020): 118-124.
[27] Ezhilmaran, Bhuvaneshwari, et al. “Recent developments in the photodetector applications of Schottky diodes based on 2D materials." Journal of Materials Chemistry C 9.19 (2021): 6122-6150.